Рекуррентная потоковая архитектура: особенности и проблемы реализации

Степченков Ю.А., Дьяченко Ю.Г., Хилько Д.В., Петрухин В.С. Рекуррентная потоковая архитектура: особенности и проблемы реализации // Проблемы разработки перспективных микро- и наноэлектронных систем — 2016. Сборник трудов / под общ.…

Рекуррентная потоковая архитектура: технические аспекты реализации и результаты моделирования

Д.В. Хилько, Ю.А. Степченков, Д.И. Шикунов, Ю.И. Шикунов. Рекуррентная потоковая архитектура: технические аспекты реализации и результаты моделирования // Проблемы разработки перспективных микро- и наноэлектронных систем – 2016. Сборник трудов /…

Hardware and Software Modelling and Testing of Non-Conventional Data-Flow Architecture

Yuri Shikunov, Dmitry Khilko, Yuri Stepchenkov. Hardware and Software Modelling and Testing of Non-Conventional Data-Flow Architecture // 2016 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus)…

Аппаратно-программное моделирование и тестирование рекуррентного операционного устройства

Д. В. Хилько, Ю. А. Степченков, Ю. Г. Дьяченко, Ю. И. Шикунов, Н. В. Морозов. Аппаратно-программное моделирование и тестирование рекуррентного операционного устройства // Системы и средства информатики, – М.: ТОРУС…

Особенности программной реализации имитационной модели потоковой рекуррентной архитектуры

Хилько Д.В., Шикунов Ю.И., Степченков Ю.А.  Особенности программной реализации имитационной модели потоковой рекуррентной архитектуры // Труды Второй молодежной научной конференции «Задачи современной информатики» – М.: ФИЦ ИУ РАН, 2015. –…

Инструментальная среда проектирования ПО для гибридной архитектуры рекуррентного обработчика сигналов (GAROS IDE)

Государственная регистрация программы для ЭВМ № 2015614004 от 01.04.2015 (опубликовано 20.04.2015). Инструментальная среда проектирования ПО для гибридной архитектуры рекуррентного обработчика сигналов (GAROS IDE). Хилько Дмитрий Владимирович, Степченков Юрий Афанасьевич, Шикунов…

Средства системной отладки рекуррентного вычислителя

Степченков Д.Ю., Петрухин В.С., Морозов Н.В. Средства системной отладки рекуррентного вычислителя // Проблемы разработки перспективных микро- и наноэлектронных систем - 2014. Сборник трудов / под общ. ред. академика РАН А.Л.…

Инструменты для системной верификации рекуррентного обработчика сигналов

В. С. Петрухин, Д. Ю. Степченков, Н. В. Морозов, Ю. А. Степченков. Инструменты для системной верификации рекуррентного обработчика сигналов // Системы и средства информатики, – М.: ТОРУС ПРЕСС, Т. 24,…

Средства имитационного моделирования потоковой рекуррентной архитектуры (СИМПРА). Версия 2

Государственная регистрация программы для ЭВМ № 2014610123 от 09.01.2014 (опубликовано 20.02.2014). Средства имитационного моделирования потоковой рекуррентной архитектуры (СИМПРА). Версия 2. Хилько Дмитрий Владимирович, Степченков Юрий Афанасьевич, Шикунов Юрий Игоревич, Дьяченко…

Средства имитационного моделирования многоядерной потоковой рекуррентной архитектуры

Хилько Д.В., Степченков Ю.А., Шикунов Ю.И. Средства имитационного моделирования многоядерной потоковой рекуррентной архитектуры // Сборник статей II всероссийской научно-практической конференции “Многоядерные процессоры, параллельное программирование, ПЛИС, системы обработки сигналов” Барнаул, 28…